@yowasp/openfpgaloader

v0.12.1-89.69
WebUSB port of openFPGALoader, universal utility for programming FPGA

YoWASP openFPGALoader package

This package provides openFPGALoader binaries built using Emscripten for WebUSB in supported browsers, providing a command openFPGALoader with the YoWASP JavaScript runtime interface. See the overview of the YoWASP project for details.

License

This package is covered by the ISC license.

npm i @yowasp/openfpgaloader

Metadata

  • ISC
  • Whatever
  • Catherine
  • released 10/3/2024

Downloads