@yowasp/nextpnr-ecp5

v0.7.517
nextpnr-ecp5 FPGA place and route tool

all

npm run transpile && npm run pack && npm run build

pack

yowasp-pack-resources gen/resources-nextpnr-ecp5.js gen share

build

esbuild --bundle lib/api.js --outfile=gen/bundle.js --format=esm --platform=node --external:./resources-*.js

transpile

jco new ../prjtrellis-build/ecppll.wasm --wasi-command --output ecppll.wasm && jco transpile ecppll.wasm --instantiation async --no-typescript --no-namespaced-exports --map 'wasi:io/*=runtime#io' --map 'wasi:cli/*=runtime#cli' --map 'wasi:clocks/*=runtime#*' --map 'wasi:filesystem/*=runtime#fs' --map 'wasi:random/*=runtime#random' --out-dir gen/ && jco new ../prjtrellis-build/ecpbram.wasm --wasi-command --output ecpbram.wasm && jco transpile ecpbram.wasm --instantiation async --no-typescript --no-namespaced-exports --map 'wasi:io/*=runtime#io' --map 'wasi:cli/*=runtime#cli' --map 'wasi:clocks/*=runtime#*' --map 'wasi:filesystem/*=runtime#fs' --map 'wasi:random/*=runtime#random' --out-dir gen/ && jco new ../prjtrellis-build/ecpmulti.wasm --wasi-command --output ecpmulti.wasm && jco transpile ecpmulti.wasm --instantiation async --no-typescript --no-namespaced-exports --map 'wasi:io/*=runtime#io' --map 'wasi:cli/*=runtime#cli' --map 'wasi:clocks/*=runtime#*' --map 'wasi:filesystem/*=runtime#fs' --map 'wasi:random/*=runtime#random' --out-dir gen/ && jco new ../prjtrellis-build/ecppack.wasm --wasi-command --output ecppack.wasm && jco transpile ecppack.wasm --instantiation async --no-typescript --no-namespaced-exports --map 'wasi:io/*=runtime#io' --map 'wasi:cli/*=runtime#cli' --map 'wasi:clocks/*=runtime#*' --map 'wasi:filesystem/*=runtime#fs' --map 'wasi:random/*=runtime#random' --out-dir gen/ && jco new ../prjtrellis-build/ecpunpack.wasm --wasi-command --output ecpunpack.wasm && jco transpile ecpunpack.wasm --instantiation async --no-typescript --no-namespaced-exports --map 'wasi:io/*=runtime#io' --map 'wasi:cli/*=runtime#cli' --map 'wasi:clocks/*=runtime#*' --map 'wasi:filesystem/*=runtime#fs' --map 'wasi:random/*=runtime#random' --out-dir gen/ && jco new ../nextpnr-build/nextpnr-ecp5.wasm --wasi-command --output nextpnr-ecp5.wasm && jco transpile nextpnr-ecp5.wasm --instantiation async --no-typescript --no-namespaced-exports --map 'wasi:io/*=runtime#io' --map 'wasi:cli/*=runtime#cli' --map 'wasi:clocks/*=runtime#*' --map 'wasi:filesystem/*=runtime#fs' --map 'wasi:random/*=runtime#random' --out-dir gen/
npm i @yowasp/[email protected]

Metadata

  • ISC
  • Whatever
  • Catherine
  • released 2/13/2024

Downloads